会员   密码 您忘记密码了吗?
1,573,436 本书已上架      购物流程 | 常见问题 | 联系我们 | 关于我们 | 用户协议

有店 App


当前分类

商品分类

浏览历史

当前位置: 首页 > 简体书 > 現代EDA技術及其應用:基於Intel FPGA & Verilog HDL的描述與實現(微課視頻版)
現代EDA技術及其應用:基於Intel FPGA & Verilog HDL的描述與實現(微課視頻版)
上一张
下一张
prev next

現代EDA技術及其應用:基於Intel FPGA & Verilog HDL的描述與實現(微課視頻版)

作者: 張俊濤,陳曉莉
出版社: 清華大學出版社
出版日期: 2022-09-01
商品库存: 点击查询库存
以上库存为海外库存属流动性。
可选择“空运”或“海运”配送,空运费每件商品是RM14。
配送时间:空运约8~12个工作天,海运约30个工作天。
(以上预计配送时间不包括出版社库存不足需调货及尚未出版的新品)
定价:   NT444.00
市场价格: RM79.82
本店售价: RM71.04
促销价: RM70.24
剩余时间: 请稍等, 正在载入中...
购买数量:
collect Add to cart Add booking
详细介绍 商品属性 商品标记
內容簡介

本書系統講述基於IntelFPGA&VerilogHDL的現代EDA技術及其應用。全書分為3篇,共7章。

第1~3章為基礎篇:第1章介紹EDA的基本概念和應用要素;第2章講述VerilogHDL的基本結構、語法要點和應用;第3章講述在QuartusPrime開發環境下進行數字系統設計的基本流程、原理圖設計方法、模擬分析和線上測試方法。

第4~6章為應用篇:第4章首先講述常用數字器件的功能描述方法,然後講述分頻器和記憶體的描述及應用;第5章講述QuartusPrime中典型IP的應用;第6章講述狀態機的設計方法,並通過典型的應用實例突出EDA技術的應用。第7章為提高篇,首先講述HDL代碼的書寫規範和數字系統的設計原則,然後簡要介紹QuartusPrime綜合與優化設計問題,重點講述時序分析和VerilogHDL中的數值運算方法。

本書可作為高等學校電子資訊類、計算機類和人工智慧類本科EDA課程教材、全國大學生電子設計競賽EDA專題培訓輔導書,也可作為研究生或其他本科專業學生自學EDA技術的參考用書。


作者介紹


目錄

基礎篇
第1章 EDA技術簡介
1.1 為什麼需要學習EDA技術
1.2 應用EDA技術的3個要素
1.2.1 可編程邏輯器件
1.2.2 硬體描述語言
1.2.3 EDA軟體
1.3 EDA技術的應用領域
1.4 電子系統設計方法
1.5 網路學習資源
本章小結
思考與練習
第2章 Verilog HDL基礎
2.1 模組的基本結構
2.2 Verilog語法元素
2.2.1 取值集合
2.2.2 常量
2.2.3 識別字與關鍵字
2.3 數據類型
2.3.1 線網
2.3.2 變數
2.3.3 記憶體
2.3.4 標量與向量
2.4 運算符與操作符
2.4.1 算術運算符
2.4.2 邏輯運算符
2.4.3 位操作符
2.4.4 關係運算符
2.4.5 等式運算符
2.4.6 條件操作符
2.4.7 移位操作符
2.4.8 縮位運算符
2.4.9 拼接操作符
2.5 模組功能的描述方法
2.5.1 行為描述
2.5.2 數據流描述
2.5.3 結構描述
2.5.4 混合描述方法
2.6 層次化電路設計
2.6.1 模組例化方法
2.6.2 生成語句
2.7 函數與任務
2.7.1 函數
2.7.2 任務
2.8 編譯預處理指令
2.8.1 巨集定義指令
2.8.2 條件編譯指令
2.8.3 檔包含指令
2.8.4 時間尺度指令
2.9 測試平臺檔
2.9.1 顯示任務
2.9.2 模擬時間函數
2.9.3 模擬控制任務
2.9.4 數據讀取任務
2.9.5 檔任務與函數
2.9.6 應用示例
2.10 Verilog可綜合語法
2.10.1 可綜合原則
2.10.2 組合邏輯電路的可綜合描述
2.10.3 時序邏輯電路的可綜合描述
本章小結
思考與練習
第3章 Quartus Prime的應用
3.1 基本設計流程
3.1.1 建立工程
3.1.2 設計輸入
3.1.3 編譯、綜合與適配
3.1.4 引腳鎖定
3.1.5 編程與配置
3.2 原理圖設計方法
3.3 模擬分析
3.3.1 基於向量波形的模擬方法
3.3.2 基於testbench的模擬方法
3.4 邏輯分析儀的應用
3.5 數字頻率計的設計——基於原理圖方法
本章小結
思考與練習

應用篇
第4章 常用數字器件的描述
4.1 組合邏輯器件的描述
4.1.1 基本邏輯門
4.1.2 編碼器
4.1.3 解碼器
4.1.4 數據選擇器
4.1.5 數值比較器
4.1.6 三態緩衝器
4.1.7 同位器
4.2 常用時序邏輯器件的描述
4.2.1 觸發器
4.2.2 寄存器
4.2.3 計數器
4.3 分頻器的設計及應用
4.3.1 偶分頻器設計
4.3.2 奇分頻器設計
4.3.3 半整數分頻器設計
4.3.4 分頻器的應用
4.4 記憶體及其應用
4.4.1 ROM
4.4.2 RAM
4.4.3 FIFO
4.5 數字頻率計的設計——基於HDL方法
4.6 偽隨機定序器的設計
本章小結
設計與實踐
第5章 IP的應用
5.1 基本功能IP
5.2 IP的 方法
5.3 DDS信號源的設計
5.3.1 相位累加器的設計
5.3.2 正弦ROM的
5.3.3 輸出數據的校正
5.3.4 頂層電路設計
5.3.5 D/A轉換及濾波電路
5.3.6 功能擴展及應用
5.4 等精度頻率計的設計
5.4.1 主控電路設計
5.4.2 頻率測量與計算電路設計
5.4.3 數值轉換與顯示解碼電路設計
5.4.4 頂層電路設計
5.4.5 功能擴展及應用
本章小結
設計與實踐
第6章 狀態機的設計及應用
6.1 狀態機的概念與分類
6.2 狀態機的描述方法
6.3 交通燈控制器的設計
6.4 週期法頻率計的設計
6.5 狀態機設計實踐
6.5.1 鍵盤電子琴的設計
6.5.2 VGA時序控制器的設計
6.5.3 A/D轉換控制器的設計
本章小結
設計與實踐

提高篇
第7章 EDA技術深入應用
7.1 代碼編寫規範
7.1.1 識別字規範
7.1.2 代碼書寫規範
7.1.3 文檔管理規範
7.2 綜合與優化設計
7.2.1 軟體優化設置
7.2.2 描述方法對綜合的影響
7.2.3 優化設計方法
7.3 時序分析基礎
7.3.1 觸發器的動態參數
7.3.2 同步時序電路分析
7.3.3 Timing Analyzer的應用
7.3.4 非同步時序與亞穩態問題
7.4 Verilog HDL數值運算
7.4.1 有符號數的加法運算
7.4.2 有符號數的乘法運算
7.4.3 FIR濾波器的設計
7.5 串口通信收發機的設計
本章小結
思考與練習
參考文獻

附錄A Verilog HDL常用關鍵字表