会员   密码 您忘记密码了吗?
1,573,407 本书已上架      购物流程 | 常见问题 | 联系我们 | 关于我们 | 用户协议

有店 App


当前分类

浏览历史

当前位置: 首页 > 考试用书 > 职训检定 > FPGA/CPLD可程式化邏輯設計實習:使用VHDL與Terasic DE2(第二版)(附範例光碟)
FPGA/CPLD可程式化邏輯設計實習:使用VHDL與Terasic DE2(第二版)(附範例光碟)
上一张
FPGA/CPLD可程式化邏輯設計實習:使用VHDL與Terasic DE2(第二版)(附範例光碟)
下一张
prev next

FPGA/CPLD可程式化邏輯設計實習:使用VHDL與Terasic DE2(第二版)(附範例光碟)

作者: 宋啟嘉
出版社: 全華圖書
出版日期: 2014-02-27
商品库存: 点击查询库存
以上库存为海外库存属流动性。
可选择“空运”或“海运”配送,空运费每件商品是RM14。
配送时间:空运约8~12个工作天,海运约30个工作天。
(以上预计配送时间不包括出版社库存不足需调货及尚未出版的新品)
定价:   NT380.00
市场价格: RM57.81
本店售价: RM51.45
促销价: RM50.87
剩余时间: 请稍等, 正在载入中...
购买数量:
collect Add to cart Add booking
详细介绍 商品属性 商品标记
內容簡介

  現今可程式化邏輯FPGA之相關實習課程已成為資訊、電機等相關科系學生必修的專業課程,而在產業界,FPGA亦已被廣泛作為快速成品之設計與邏輯產品驗證平台。本書為讀者介紹如何在FPGA開發平台上,使用VHDL硬體描述語言與AlteraQuartusII完成相關數位電路設計,使讀者了解可程式化邏輯之設計方向,並掌握其基礎設計能力。

本書特色

  1.本書所使用之可程式化邏輯電路開發平台「友晶TerasicDE2」為目前產學界最普遍被使用的FPGA教學開發板,相關設計範例與資源亦相當豐富。
  2.本書將LogicDesign技術融合活潑生動的實習,把枯燥乏味的設計理論趣味化,激發讀者的學習興趣,更能充份體會其中技術。
  3.本書中增加許多領域的擴展應用:從VGA控制、數位類比轉換至伺服馬達控制等,相當符合業界的實際設計需求。


作者介紹


目錄

Chapter1 概論 1-1
1.1 DE2可程式化邏輯開發板
1.1.1 友晶DE2
1.2 Altera Quartus II介紹
1.2.1 Quartus II
1.2.2 Quartus II 軟體下載
1.2.3 安裝Quartus II
1.2.4 在Windows 7 安裝Byteblaster
1.3 開始使用Quartus II
1.3.1 建立專案
1.3.2 建立方塊圖及電路圖檔
1.3.3 I/O 腳位的指定
1.3.4 分析與合成
1.3.5 FPGA 的燒錄

Chapter2 算術邏輯電路設計 2-1
2.1 半加器
2.1.1 創建半加器電路圖
2.1.2 模擬半加器
2.2 全加器
2.2.1 創建全加器電路
2.2.2 模擬全加器
2.3 四位元加法器
2.3.1 電路圖編輯四位元加法器
2.3.2 模擬四位元加法器
2.4 練習題
2.4.1 八位元加法器
2.4.2 四位元乘法器

Chapter3 VHDL 硬體描述語言 3-1
3.1 VHDL簡介
3.1.1 硬體描述語言HDL
3.1.2 以VHDL 實現全加器
3.2 階層化設計-4位元加法器
3.2.1 VHDL 階層化設計
3.3 加法器比較
3.3.1 前瞻進位加法器
3.3.2 八位元漣波進位加法器與八位元前瞻進位加法器性能比較
3.4 VHDL範例
3.4.1 四位元比較器
3.4.2 四位元ALU 算數邏輯單元
3.4.3 簡單計數器
3.4.4 16 乘8 唯讀記憶體
3.5 練習題
3.4.1 八位元加法器比較
3.4.2 解碼器編碼器設計

Chapter4 除頻器 4-1
4.1 除頻器設計
4.1.1 除2 之除頻器
4.1.2 模擬除2 之除頻器
4.2 除50除頻器設計
4.2.1 VHDL 編輯除50 之除頻器
4.2.2 模擬除50 之除頻器
4.3 除頻器整合設計
4.3.1 除頻器模組整合
4.3.2 整合除頻器的驗證

Chapter5 DE2 基本單元 (LED 燈、七段顯示器、指撥器、按鈕)
5.1 LED跑馬燈設計
5.1.1 電路圖編輯跑馬燈
5.1.2 VHDL 狀態機
5.2 七段顯示器設計
5.2.1 電路圖編輯七段顯示器
5.2.2 七段顯示器實作
5.3 按鈕開關(KEY)設計
5.3.1 電路圖編輯按鈕開關
5.3.2 按鈕開關實作
5.4 練習題
5.4.1 跑馬燈1
5.4.2 跑馬燈2

Chapter6 類比/數位轉換器
6.1 類比/數位轉換器(ADC)
6.1.1 類比/數位轉換器介紹
6.1.2 ADC0804 動作順序
6.1.3 電路圖編輯類比/數位轉換器
6.1.4 類比/數位轉換器實作
6.2 數位/類比轉換器(DAC)
6.2.1 DAC0800 介紹
6.2.2 電路圖編輯數位/類比轉換器
6.2.3 數位/類比轉換器實作
6.3 練習題
6.3.1 以數字顯示ADC0804 轉換結果
6.3.2 實驗DAC0800 轉換控制

Chapter7 字元LCD 控制器 7-1
7.1 LCD基本操作
7.1.1 字元LCD 模組介紹
7.1.2 電路圖編輯字元LCD 控制器
7.1.3 字元LCD 控制器實作
7.2 練習題
7.2.1 進階控制字元LCD
7.2.2 小計算機

Chapter8 8 乘8 LED 矩陣 8-1
8.1 8乘8 LED矩陣
8.1.1 8 乘8 LED 矩陣及解碼器介紹
8.1.2 電路圖編輯
8.1.3 LED 矩陣實作
8.2 小綠人
8.2.1 電路圖編輯
8.2.2 LED 矩陣實作
8.3 8乘8 LED矩陣增加亮度
8.3.1 整體電路介紹
8.4 練習題
8.4.1 擲骰子
8.4.2 紅綠燈

Chapter9 VGA 輸出控制 9-1
9.1 VGA控制訊號
9.1.1 VGA 時序規格
9.1.2 電路圖編輯VGA
9.1.3 VGA 圖形輸出實作
9.2 習題
9.2.1 改變移動方向
9.2.2 改變顏色及圖案

Chapter10 專題設計 10-1
10.1 音樂盒
10.1.1 音樂盒電路圖
10.2 數位時鐘
10.2.1 時鐘電路圖
10.2.2 實作結果
10.3 井字遊戲(OOXX)
10.3.1 井字遊戲電路圖
10.3.2 實作結果
10.4 小鬧鐘
10.4.1 鬧鐘電路圖
10.4.2 實作結果
10.5 練習題
10.5.1 音樂撥放器
10.5.2 數獨遊戲

Chapter11 DE2 CPLD 實習擴充子板
11.1 DE2 CPLD實習擴充子板
11.2 擴充子板教學
11.3 子板實作結果
11.4 子板小專題1:小綠人快快走
11.5 子板小專題2:音樂盒
11.6 練習題
11.6.1 小鋼琴
11.6.2 小電表

附錄 附-1
附A HY-SRF05測距雷達
附B 4乘4數字鍵盤
附C 伺服馬達
附D 步進馬達
附E LFSR亂數產生器